数字IC设计中ECO的那些事,其实并不是事

数字IC设计中ECO的那些事,其实并不是事数字IC设计中ECO的那些事,其实并不是事!点击标题下「蓝色微信名」可快速关注刚好最近一直在忙着芯片的测试工作(发现某些bug),都没来得及规划公众号文章的内容,都是想到啥写啥

数字IC设计中ECO的那些事,其实并不是事!

文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。

点击标题下「蓝色微信名」可快速关注

刚好最近一直在忙着芯片的测试工作(发现某些 bug),都没来得及规划公众号文章的内容,都是想到啥写啥。等后面没那么忙的时候,小编就抽空来整理一个清单,让大家循序渐进,系统地来学习数字后端设计实现的整个环节。so, 今天先跟大家聊聊数字 IC 设计中 ECO 的那些事。ECO 中文翻译就是工程变更(Engineering Change Order)。很多工作几年的朋友,肯定或多或少都接触过这个事情。因为 design 中写的算法难免有 bug,后仿用的 case 无法覆盖 100% 的应用场景,以及实现过程中犯了某些错误等。

大体上数字 IC 设计中的 ECO 可以分为 Tapeout 前的 ECO,Tapeout 过程的 ECO,Tapeout 后的 ECO。

Tapeout 前的 ECO

很多公司(特别是大公司),他们一般都有规定哪个阶段必须 RTL freeze,这个时候不会也不允许再更新新的 RTL,即使后面仿真验证有 bug。为什么不让更新 RTL 呢?因为此时数字后端工程师可能都做好布局布线,timing 也没什么大问题了,都准备开始修 timing 了。如果此时 release 新的 RTL,那就意味着需要重新跑整个 flow,从综合到 PR,再到 fixing timing。一方面会严重影响整个项目的 Tapeout 时间,从而影响 Wafer out 和芯片上市的时间。另外一方面,数字后端工程师可能有想骂人的冲动。

因此,此时数字前端负责写 coding 的工程师只能在 final RTL 的基础上,通过写 ECO 脚本的方式来实现功能上的 ECO。

ECO 代价:时间成本,相对较小

Tapeout 过程的 ECO

当数字后端实现后的 design,timing 已经符合 signoff 标准,DRC 已经 clean,LVS 已经 pass,IR drop,MVRC,Formality,DRCPLUS 等都已经 pass。但是数字前端设计工程师还没来得及做完大部分 case 的后仿,而且芯片又面临着 Timing-TO-Market 的压力。此时,老板可能会说先 Tapeout 吧(就是开始传 GDSII 给 Foundary 厂)。为什么敢这么做呢?是在赌博吗,呵呵。其实肯定有赌博的成分,但是更多的还是自信(认为不会出大问题)。因为,前一周左右 foundary 会 先做 base layer 的加工。只要后期仿真发现的问题,不需要再添加额外的 cell,就不耽误之前的 Tapeout(此处有点像流水线)。即使发现需要新加几个 cell,这个时候仍然可以通过替换后端实现过程中所加的 ECO cell 或者 spare cell 来实现。(如何预先加 ECO CELL 和 spare cell ,后续会专门讨论)

EO 代价:时间成本较大

Tapeout 后的 ECO

当芯片已经回来,在测试过程中发现的 bug,然后又必须 fix 掉的 bug(无法通过软件绕过去或者代价太高)。这个时候需要通过 ECO 来解决。这个时候做 ECO 的代价相对前面两种大很多,都是要消耗很多的真金白银,而且有芯片量产的巨大压力。改动少的可能就改几层 Metal layer,多的可能就需要动十几层 Metal layer,甚至重新流片。做 ECO 之前,老板肯定会让数字前端设计工程师出 ECO 方案,同时让后端工程师进行评估,主要评估需要改动的层数,timing 是否能快速收敛等方面的风险。

ECO 代价:时间成本 + money 较大

下面小编稍微总结下数字 IC 设计中做 ECO 的主要步骤(数字后端工程师必须熟练掌握以下几点工作,第一点除外):

数字前端工程师制定 ECO 方案(验证方案有效性,可行性)

写 ECO 脚本

后端工程师根据 ECO 脚本,进行摆放 cell 和连线(手工或自动的方式)

形式验证(确保后端进行变更后的功能和前端 ECO 方案功能一致性)

确认基于 Tapeout 版本的 GDSII 所有改动的层(通过 calibre 跑 Layout Versus Layout)

重新做 dummy insertion 或者人工改 dummy

再次进行 timing signoff(Fixing all setup,hold,timing drc,etc)

再次跑 DRC&LVS 并 fix violations

Release 新的 GDSII

好了,今天的内容分享就到这里。另外,因为公众号更改推送规则,小编分享的每篇干货不一定能及时推送给各位。为了避免错过精彩内容,请关注星标公众号,点击 “在看”,点赞并分享到朋友圈,让推送算法知道你是社区的老铁,这样就不会错过任何精彩内容了。

如果你想和小编有更进一步的沟通交流的机会,欢迎加入小编知识星球,让我们一起学习成长,共同进步。相信在这里能让你成就一个更完美的自己

小编知识星球简介(如果你渴望进步,期望高薪,喜欢交流,欢迎加入 ****)

在这里,目前已经规划并正着手做的事情:

  • ICC/ICC2 lab 的编写

  • 基于 ARM CPU 的后端实现流程

  • 利用 ICC 中 CCD(Concurrent Clock Data)实现高性能模块的设计实现

  • 基于 ARM 四核 CPU 数字后端 Hierarchical Flow 实现教程

  • 时钟树结构分析

  • 低功耗设计实现

  • 定期将项目中碰到的问题以案例的形式做技术分享

  • 基于 90nm 项目案例实现教程(ICC 和 Innovus 配套教程)

  • 数字 IC 行业百科全书

吾爱 IC 社区知识星球星主为公众号” 吾爱 IC 社区” 号主,从事数字 ic 后端设计实现工作近八年,拥有55nm,40nm,28nm,22nm,14nm等先进工艺节点成功流片经验,成功tapeout 过三十多颗芯片

这里是一个数字 IC 设计实现高度垂直细分领域的知识社群,是数字 IC 设计实现领域中最大,最高端的知识交流和分享的社区,这里聚集了无数数字 ic 前端设计,后端实现,模拟 layout 工程师们。

在这里大家可以多建立连接,多交流,多拓展人脉圈,甚至可以组织线下活动。在这里你可以就数字 ic 后端设计实现领域的相关问题进行提问,也可以就职业发展规划问题进行咨询,也可以把困扰你的问题拿出来一起讨论交流。对于提问的问题尽量做到有问必答,如遇到不懂的,也会通过查阅资料或者请教专家来解答问题。在这里鼓励大家积极发表主题,提问,从而促进整个知识社群的良性循环。每个月小编会针对活跃用户进行打赏。

最重要的是在这里,能够借助这个知识社群,短期内实现年薪百万的梦想!不管你信不信,反正已经进来的朋友肯定是相信的!相遇是一种缘分,相识更是一种难能可贵的情分!如若有缘你我一定会相遇相识!知识星球二维码如下,可以扫描或者长按识别二维码进入。目前已经有 894 星球成员,感谢这894童鞋的支持!欢迎各位渴望进步,期望高薪的铁杆粉丝加入!终极目标是打造实现本知识星球全员年薪百万的宏伟目标
在这里插入图片描述

欢迎关注 “吾爱 IC 社区

微信号:ic-backend2018
在这里插入图片描述

https://mp.weixin.qq.com/s/1rkapHPb0TZCRmuFUpCaqw

今天的文章数字IC设计中ECO的那些事,其实并不是事分享到此就结束了,感谢您的阅读。

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。
如需转载请保留出处:http://bianchenghao.cn/61445.html

(0)
编程小号编程小号

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注