约束文件是什么_libero时间约束文件

约束文件是什么_libero时间约束文件手把手教你在vivado下编写一个xdc文件_xdc文件怎么写


一、案例背景

本次编写的案例是四个按键作为输出,控制四个led灯,模块定义如下:

module key_led(
    input sys_clk,
    input sys_rst_n,
    input [3:0] key,
    
    output reg [3:0] led
    );

二、编写步骤

1.创建一个xdc文件

点击加号
在这里插入图片描述
选中第一个选项,然后点击next
在这里插入图片描述
在这里插入图片描述
这里输入一个文件名,尽量与工程名保持一致
在这里插入图片描述
点击finish
在这里插入图片描述
等待刷新后即可在资源列表中找到刚刚创建的xdc文件
在这里插入图片描述

2.确定需求

根据本文的项目背景,这里需要约束的内容如下:

  • 系统时钟
  • 复位按键
  • 四个普通按键
  • 四个LED

3.开始编写

3.1处理系统时钟

对于时钟,我们需要先创建一个时钟,然后对引脚进行定义,代码如下

create_clock -period 20.00 -name sys_clk [get_ports sys_clk]
set_property -dict { 
   PACKAGE_PIN R4 IOSTANDARD LVCMOS15} [get_ports sys_clk]

对于创建时钟的代码:

-period是时钟周期,本次创建是20ns,所以是20.00
-name是.v文件中的命名
**[get-ports sys_clk]**代表连接到哪个引脚

对于约束时钟引脚的代码:

如果只写引脚约束,可以写成:

set_property -dict PACKAGE_PIN R4 [get_ports sys_clk]

但是这么写后面还要对电压进行约束,所以我们把引脚约束和电压约束写在一起:

set_property -dict { 
   PACKAGE_PIN R4 IOSTANDARD LVCMOS15} [get_ports sys_clk]

IOSTANDARD是电压约束,要根据芯片对这个引脚的电压来选择(可以通过I/O planning来看选项)
注意:一起写时需要加上大括号

补充:如何打开I/O planning

在这里插入图片描述
在这里插入图片描述
把第二部的下拉打开就能看到选项了

3.2对其余引脚进行约束

方法和对时钟约束引脚一样,代码如下:

set_property -dict { 
   PACKAGE_PIN U7 IOSTANDARD LVCMOS15} [get_ports sys_rst_n]

set_property -dict { 
   PACKAGE_PIN T4 IOSTANDARD LVCMOS15} [get_ports { 
   key[0]}]
set_property -dict { 
   PACKAGE_PIN T3 IOSTANDARD LVCMOS15} [get_ports { 
   key[1]}]
set_property -dict { 
   PACKAGE_PIN R6 IOSTANDARD LVCMOS15} [get_ports { 
   key[2]}]
set_property -dict { 
   PACKAGE_PIN T6 IOSTANDARD LVCMOS15} [get_ports { 
   key[3]}]
           
set_property -dict { 
   PACKAGE_PIN V9 IOSTANDARD LVCMOS15} [get_ports { 
   led[0]}]
set_property -dict { 
   PACKAGE_PIN Y8 IOSTANDARD LVCMOS15} [get_ports { 
   led[1]}]
set_property -dict { 
   PACKAGE_PIN Y7 IOSTANDARD LVCMOS15} [get_ports { 
   led[2]}]
set_property -dict { 
   PACKAGE_PIN W7 IOSTANDARD LVCMOS15} [get_ports { 
   led[3]}]

4.完整代码

create_clock -period 20.00 -name sys_clk [get_ports sys_clk]
set_property -dict { 
   PACKAGE_PIN R4 IOSTANDARD LVCMOS15} [get_ports sys_clk]
set_property -dict { 
   PACKAGE_PIN U7 IOSTANDARD LVCMOS15} [get_ports sys_rst_n]

set_property -dict { 
   PACKAGE_PIN T4 IOSTANDARD LVCMOS15} [get_ports { 
   key[0]}]
set_property -dict { 
   PACKAGE_PIN T3 IOSTANDARD LVCMOS15} [get_ports { 
   key[1]}]
set_property -dict { 
   PACKAGE_PIN R6 IOSTANDARD LVCMOS15} [get_ports { 
   key[2]}]
set_property -dict { 
   PACKAGE_PIN T6 IOSTANDARD LVCMOS15} [get_ports { 
   key[3]}]
           
set_property -dict { 
   PACKAGE_PIN V9 IOSTANDARD LVCMOS15} [get_ports { 
   led[0]}]
set_property -dict { 
   PACKAGE_PIN Y8 IOSTANDARD LVCMOS15} [get_ports { 
   led[1]}]
set_property -dict { 
   PACKAGE_PIN Y7 IOSTANDARD LVCMOS15} [get_ports { 
   led[2]}]
set_property -dict { 
   PACKAGE_PIN W7 IOSTANDARD LVCMOS15} [get_ports { 
   led[3]}]

三、出错总结

收到了一些反馈,本案例的编写大概会出现三种编写问题。

报错1

在这里插入图片描述
原因是在大括号和中括号之间忘记添加空格

错误代码(例):

set_property -dict { 
   PACKAGE_PIN T4 IOSTANDARD LVCMOS15}[get_ports { 
   key[0]}]

正确代码(例):

set_property -dict { 
   PACKAGE_PIN T4 IOSTANDARD LVCMOS15} [get_ports { 
   key[0]}]

报错2(这个时critical warning)

在这里插入图片描述

原因是-name或-period在编写时不小心加了空格变成 – name

错误代码(例):

create_clock - period 20.00 - name sys_clk [get_ports sys_clk]

正确代码(例):

set_property -dict { 
   PACKAGE_PIN T4 IOSTANDARD LVCMOS15} [get_ports { 
   key[0]}]

报错3

在这里插入图片描述
原因是IOSTANDARD LVCMOS15打成IOSTANDARD-LVCMOS15

错误代码(例):

set_property -dict { 
   PACKAGE_PIN V9 IOSTANDARD-LVCMOS15} [get_ports { 
   led[0]}]

正确代码(例):

set_property -dict { 
   PACKAGE_PIN V9 IOSTANDARD LVCMOS15} [get_ports { 
   led[0]}]

今天的文章约束文件是什么_libero时间约束文件分享到此就结束了,感谢您的阅读。

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。
如需转载请保留出处:https://bianchenghao.cn/83585.html

(0)
编程小号编程小号

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用 * 标注