Verdi使用教程[亲测有效]

Verdi使用教程[亲测有效]文章目录引言lab1任务目标是:用VCS产生fsdb文件引言首先需要明确的是Verdi只是一个查看波形的文件,搭配模式有VCS+verdi、Irun+verdi、queta+verdi。在本系列中用VCS和verdi搭配起来熟悉。lab1任务目标是:用VCS产生fsdb文件fsdb文件是Verdi用来查看波形所需的文件,这里我就不对我的RTL进行介绍了,因为是以前在quartus下面验证过的。vcsex_pulse_triger.vex_pulse_triger_tb.vpulse_ou

引言

首先需要明确的是Verdi只是一个查看波形的文件,搭配模式有VCS+verdi、Irun+verdi、queta+verdi。在本系列中用VCS和verdi搭配起来熟悉。

lab1

任务目标是:用VCS产生fsdb文件

fsdb文件是Verdi用来查看波形所需的文件,这里我就不对我的RTL进行介绍了,因为是以前在quartus下面验证过的。
在这里插入图片描述 vcs ex_pulse_triger.v ex_pulse_triger_tb.v pulse_out_module.v +v2k -debug_all
其中因为我的环境变量里面已经设置好了vcs=vcs -full64所以只需要输入vcs即可,然后+v2k代表支持2001的语法,得到文件如下
在这里插入图片描述
另外你也许会报错,最后没法生成.simv的文件
vcs -cpp gcc -4.4.7 ex_pulse_triger.v ex_pulse_triger_tb.v pulse_out_module.v +v2k -debug_all

在这里插入图片描述
下面先使用第一种方法,在tb文件里面加上系统函数,然后生成fsdb文件。
实际上又会出现下面错误
Undefined System Task call to ‘$fsdbDumpfile’
解决办法
三个要点:
1、 把NOVAS_HOME和LD_LIBRARY_PATH这两个变量设对
2、VCS加-fsdb参数
3、VCS和VERDI的版本不要相差太远

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。
如需转载请保留出处:https://bianchenghao.cn/10841.html

(0)
编程小号编程小号

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注