VHDL——8位双向移位寄存器

VHDL——8位双向移位寄存器1.逻辑元件符号CLR:复位控制输入端LOD:预置控制输入端S:以为方向控制输入端:s=1,,右移移位寄存器s=0,左移移位寄存器DIR:右移串入输入信号DIL:左移串入输入信号2.VHDL语言LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYrlshiftISPORT(clr,lod,clk,s,dir,dil:INBIT; d:INBIT_VECTOR(7DOWNTO0); q:BUFFERBIT_VE

1.逻辑元件符号
VHDL——8位双向移位寄存器

CLR:复位控制输入端
LOD:预置控制输入端
S:以为方向控制输入端:
s=1,,右移移位寄存器
s=0,左移移位寄存器

DIR:右移串入输入信号
DIL:左移串入输入信号

2.VHDL语言

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;   
ENTITY rlshift IS
PORT(clr,lod,clk,s,dir,dil:IN BIT;
	 d:IN BIT_VECTOR(7 DOWNTO 0);
	 q:BUFFER BIT_VECTOR(7 DOWNTO 0));
END rlshift;
ARCHITECTURE one OF rlshift IS
  SIGNAL q_temp:BIT_VECTOR(7 DOWNTO 0);
    BEGIN
      PROCESS(clr,clk,lod,s,dir,dil)
	    BEGIN	
		 IF clr='0' THEN q_temp <= "00000000";
ELSIF clk'EVENT AND clk='1' THEN
	IF (lod='1') THEN
		q_temp <= d;
	 ELSIF (S='1') THEN    
	 FOR i IN 7 downto 1 LOOP      --实现右移操作
    		q_temp(i-1) <= q(i);
	 END LOOP ;
		q_temp(7) <= dir;
	 ELSE 
	 FOR i IN 0 TO 6 LOOP         --实现左移操作
    		q_temp(i+1) <= q(i);
	 END LOOP ;
		q_temp(0) <= dil;
	 END IF;
     END IF;
		q <= q_temp;
  END PROCESS;
END one;


今天的文章VHDL——8位双向移位寄存器分享到此就结束了,感谢您的阅读。

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。
如需转载请保留出处:https://bianchenghao.cn/12476.html

(0)
编程小号编程小号

相关推荐

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注